# Simulator specific variables SIM = verilator EXTRA_ARGS += --trace-fst --trace-structs include $(shell cocotb-config --makefiles)/Makefile.sim