srdl2sv/srdl2sv/components/templates/addrmap.sv

10 lines
99 B
Systemverilog

module {addrmap_name} (
{bus_io}
{io_list}
);
{bus_widget}
{registers}
endmodule